hyperthreading

Publications for keyword "hyperthreading"
2006
Database hash-join algorithms on multithreaded computer architectures, Philip Garcia and Henry F. Korth, in: CF '06: Proceedings of the 3rd conference on Computing frontiers, Ischia, Italy, pages 241--252, ACM, 2006
[DOI]
Dynamic tiling for effective use of shared caches on multithreaded processors, Dimitrios S. Nikolopoulos (2006), in: International Journal of High Performance Computing and Networking, 2(22--35)
[DOI]
Online power-performance adaptation of multithreaded programs using hardware event-based prediction, Matthew Curtis-Maury, James Dzierwa, Christos D. Antonopoulos and Dimitrios S. Nikolopoulos, in: ICS '06: Proceedings of the 20th annual international conference on Supercomputing, Cairns, Queensland, Australia, pages 157--166, ACM, 2006
[DOI]
2005
Adaptive execution techniques for SMT multiprocessor architectures, Changhee Jung, Daeseob Lim, Jaejin Lee and SangYong Han, in: PPoPP '05: Proceedings of the tenth ACM SIGPLAN symposium on Principles and practice of parallel programming, Chicago, IL, USA, pages 236--246, ACM, 2005
[DOI]
Buddy Threading in Distributed Applications on Simultaneous Multi-threading Processors, Nikola Vouk, Department of Computer Science, Raleigh, North California, 2005
Dynamic run-time architecture techniques for enabling continuous optimization, Tipp Moseley, Alex Shye, Vijay Janapa Reddi, Matthew Iyer, Dan Fay, David Hodgdon, Joshua L. Kihm, Alex Settle, Dirk Grunwald and Daniel A. Connors, in: CF '05: Proceedings of the 2nd conference on Computing frontiers, Ischia, Italy, pages 211--220, ACM Press, 2005
[DOI]
Hyper-Threading Aware Process Scheduling Heuristics, James R. Bulpin and Ian A. Pratt, in: ATEC'05: Proceedings of the USENIX Annual Technical Conference 2005 on USENIX Annual Technical Conference, Anaheim, CA, pages 27--27, USENIX Association, 2005
Improving database performance on simultaneous multithreading processors, Jingren Zhou, John Cieslewicz, Kenneth A. Ross and Mihir Shah, in: VLDB '05: Proceedings of the 31st international conference on Very large data bases, Trondheim, Norway, pages 49--60, VLDB Endowment, 2005
Integrating Multiple Forms of Multithreaded Execution on multi-SMT Systems: A Study with Scientific Applications, Matthew Curtis-Maury, Tanping Wang, Christos D. Antonopoulos and Dimitrios S. Nikolopoulos, in: QEST '05: Proceedings of the Second International Conference on the Quantitative Evaluation of Systems (QEST'05) on The Quantitative Evaluation of Systems, 2005
Methods for Modeling Resource Contention on Simultaneous Multithreading Processors, Tipp Moseley, Dirk Grunwald, Joshua L. Kihm and Daniel A. Connors, in: ICCD '05: Proceedings of the 2005 International Conference on Computer Design, pages 373--380, IEEE Computer Society, 2005
[DOI]
Multigrain parallel Delaunay Mesh generation: challenges and opportunities for multithreaded architectures, Christos D. Antonopoulos, Xiaoning Ding, Andrey Chernikov, Filip Blagojevic, Dimitrios S. Nikolopoulos and Nikos Chrisochoides, in: ICS '05: Proceedings of the 19th annual international conference on Supercomputing, Cambridge, Massachusetts, pages 367--376, ACM, 2005
[DOI]
Optimizing a 3D-FWT Video Encoder for SMPs and HyperThreading Architectures, Ricardo Fernandez, Jose M. Garcia, Gregorio Bernabe and Manuel E. Acacio, in: PDP '05: Proceedings of the 13th Euromicro Conference on Parallel, Distributed and Network-Based Processing, pages 76--83, IEEE Computer Society, 2005
[DOI]
Runtime Empirical Selection of Loop Schedulers on Hyperthreaded SMPs., Yun Zhang and Michael Voss, in: IPDPS '05: Proceedings of the 19th International Symposium on Parallel and Distributed Processing, 2005

A PHP Error was encountered

Severity: 8192

Message: Function eregi() is deprecated

Filename: helpers/MY_url_helper.php

Line Number: 24

A PHP Error was encountered

Severity: 8192

Message: Function eregi() is deprecated

Filename: helpers/MY_url_helper.php

Line Number: 24


[URL]
Scheduling Algorithms for Effective Thread Pairing on Hybrid Multiprocessors., Robert L. McGregor, Christos D. Antonopoulos and Dimitrios S. Nikolopoulos, in: IPDPS '05: Proceedings of the 19th International Symposium on Parallel and Distributed Processing, 2005

A PHP Error was encountered

Severity: 8192

Message: Function eregi() is deprecated

Filename: helpers/MY_url_helper.php

Line Number: 24

A PHP Error was encountered

Severity: 8192

Message: Function eregi() is deprecated

Filename: helpers/MY_url_helper.php

Line Number: 24


[URL]
smt- SPRINTS: Software Precomputation with Intelligent Streaming for Resource-Constrained SMTs., Tanping Wang, Christos D. Antonopoulos and Dimitrios S. Nikolopoulos, in: Euro-Par '05: Proceedings of the 11th International Euro-Par Conference on Parallel Processing, pages 710--719, 2005
[DOI]
Stream Programming on General-Purpose Processors, Jayanth Gummaraju and Mendel Rosenblum, in: MICRO 38: Proceedings of the 38th annual IEEE/ACM International Symposium on Microarchitecture, pages 343--354, IEEE Computer Society, 2005
[DOI]
2004
Architectural Support for Enhanced SMT Job Scheduling., Alex Settle, Joshua L. Kihm, Andrew Janiszewski and Daniel A. Connors, in: PACT '04: Proceedings of the 13th international conference on Parallel architectures and compilation techniques, pages 63--73, 2004

A PHP Error was encountered

Severity: 8192

Message: Function eregi() is deprecated

Filename: helpers/MY_url_helper.php

Line Number: 24

A PHP Error was encountered

Severity: 8192

Message: Function eregi() is deprecated

Filename: helpers/MY_url_helper.php

Line Number: 24


[URL]
Implementation of Fine-Grained Cache Monitoring for Improved SMT Scheduling., Joshua L. Kihm and Daniel A. Connors, in: ICCD '04: Proceedings of the 2004 International Conference on Computer Design, pages 326--331, 2004

A PHP Error was encountered

Severity: 8192

Message: Function eregi() is deprecated

Filename: helpers/MY_url_helper.php

Line Number: 24

A PHP Error was encountered

Severity: 8192

Message: Function eregi() is deprecated

Filename: helpers/MY_url_helper.php

Line Number: 24


[URL]
Physical Experimentation with Prefetching Helper Threads on Intel's Hyper-Threaded Processors, Dongkeun Kim, Steve Shih-wei Liao, Perry H. Wang, Juan del Cuvillo, Xinmin Tian, Xiang Zou, Hong Wang, Donald Yeung, Milind Girkar and John P. Shen, in: CGO '04: Proceedings of the International Symposium on Code Generation and Optimization, Palo Alto, California, pages 27, IEEE Computer Society, 2004
Runtime support for integrating precomputation and thread-level parallelism on simultaneous multithreaded processors, Tanping Wang, Filip Blagojevic and Dimitrios S. Nikolopoulos, in: LCR '04: Proceedings of the 7th workshop on Workshop on languages, compilers, and run-time support for scalable systems, Houston, Texas, pages 1--12, ACM Press, 2004
[DOI]
2003
Code and Data Transformations for Improving Shared Cache Performance on SMT Processors., Dimitrios S. Nikolopoulos, in: ISHPC, pages 54--69, 2003

A PHP Error was encountered

Severity: 8192

Message: Function eregi() is deprecated

Filename: helpers/MY_url_helper.php

Line Number: 24

A PHP Error was encountered

Severity: 8192

Message: Function eregi() is deprecated

Filename: helpers/MY_url_helper.php

Line Number: 24


[URL]
Exploring the Use of Hyper-Threading Technology for Multimedia Applications with Intel OpenMP Compiler, Xinmin Tian, Yen-Kuang Chen, Milind Girkar, Steven Ge, Rainer Lienhart and Sanjiv Shah, in: IPDPS '03: Proceedings of the 16th International Symposium on Parallel and Distributed Processing, pages 36.1, IEEE Computer Society, 2003
Initial Observations of the Simultaneous Multithreading Pentium 4 Processor, Nathan Tuck and Dean M. Tullsen, in: PACT '03: Proceedings of the 12th international conference on Parallel architectures and compilation techniques, pages 26, IEEE Computer Society, 2003
2002
Hyper-Threading Technology Architecture and Microarchitecture, Deborah Marr, Frank Binns, David Hill, Glenn Hinton, David Koufaty, J. Alan Miller and Michael Upton (2002), in: Intel Technology Journal

A PHP Error was encountered

Severity: 8192

Message: Function eregi() is deprecated

Filename: helpers/MY_url_helper.php

Line Number: 24

A PHP Error was encountered

Severity: 8192

Message: Function eregi() is deprecated

Filename: helpers/MY_url_helper.php

Line Number: 24


[URL]
Speculative Precomputation: Exploring the Use of Multithreading for Latency, Hong Wang, Perry H. Wang, Scott M. Ettinger, Steve Shih-wei Liao and John P. Shen, in: Intel Technology Journal, 2002

A PHP Error was encountered

Severity: 8192

Message: Function eregi() is deprecated

Filename: helpers/MY_url_helper.php

Line Number: 24

A PHP Error was encountered

Severity: 8192

Message: Function eregi() is deprecated

Filename: helpers/MY_url_helper.php

Line Number: 24


[URL]