Publications for topic "SMT Processors"
2008
Efficient resources assignment schemes for clustered multithreaded processors, F. Latorre, J. Gonzalez and Antonio Gonzalez (2008), in: Parallel and Distributed Processing, 2008. IPDPS 2008. IEEE International Symposium on(1-12)
[DOI]
The shared-thread multiprocessor, Jeffery A. Brown and Dean M. Tullsen, in: ICS '08: Proceedings of the 22nd annual international conference on Supercomputing, Island of Kos, Greece, pages 73--82, ACM, 2008
[DOI]
2007
Thread clustering: sharing-aware scheduling on SMP-CMP-SMT multiprocessors, David Tam, Reza Azimi and Michael Stumm, in: EuroSys '07: Proceedings of the 2nd ACM SIGOPS/EuroSys European Conference on Computer Systems 2007, Lisbon, Portugal, pages 47--58, ACM, 2007
[DOI]
2006
Database hash-join algorithms on multithreaded computer architectures, Philip Garcia and Henry F. Korth, in: CF '06: Proceedings of the 3rd conference on Computing frontiers, Ischia, Italy, pages 241--252, ACM, 2006
[DOI]
Dynamic tiling for effective use of shared caches on multithreaded processors, Dimitrios S. Nikolopoulos (2006), in: International Journal of High Performance Computing and Networking, 2(22--35)
[DOI]
Exploiting Unbalanced Thread Scheduling for Energy and Performance on a CMP of SMT Processors, Matthew DeVuyst, Rakesh Kumar and Dean M. Tullsen, in: IPDPS '06: Proceedings of the 20th International Symposium on Parallel and Distributed Processing, 2006
Learning-Based SMT Processor Resource Distribution via Hill-Climbing, Seungryul Choi and Donald Yeung, in: ISCA '06: Proceedings of the 33rd annual international symposium on Computer Architecture, pages 239--251, IEEE Computer Society, 2006
[DOI]
2005
Adaptive execution techniques for SMT multiprocessor architectures, Changhee Jung, Daeseob Lim, Jaejin Lee and SangYong Han, in: PPoPP '05: Proceedings of the tenth ACM SIGPLAN symposium on Principles and practice of parallel programming, Chicago, IL, USA, pages 236--246, ACM, 2005
[DOI]
Buddy Threading in Distributed Applications on Simultaneous Multi-threading Processors, Nikola Vouk, Department of Computer Science, Raleigh, North California, 2005
Hyper-Threading Aware Process Scheduling Heuristics, James R. Bulpin and Ian A. Pratt, in: ATEC'05: Proceedings of the USENIX Annual Technical Conference 2005 on USENIX Annual Technical Conference, Anaheim, CA, pages 27--27, USENIX Association, 2005
Integrating Multiple Forms of Multithreaded Execution on multi-SMT Systems: A Study with Scientific Applications, Matthew Curtis-Maury, Tanping Wang, Christos D. Antonopoulos and Dimitrios S. Nikolopoulos, in: QEST '05: Proceedings of the Second International Conference on the Quantitative Evaluation of Systems (QEST'05) on The Quantitative Evaluation of Systems, 2005
Methods for Modeling Resource Contention on Simultaneous Multithreading Processors, Tipp Moseley, Dirk Grunwald, Joshua L. Kihm and Daniel A. Connors, in: ICCD '05: Proceedings of the 2005 International Conference on Computer Design, pages 373--380, IEEE Computer Society, 2005
[DOI]
Multigrain parallel Delaunay Mesh generation: challenges and opportunities for multithreaded architectures, Christos D. Antonopoulos, Xiaoning Ding, Andrey Chernikov, Filip Blagojevic, Dimitrios S. Nikolopoulos and Nikos Chrisochoides, in: ICS '05: Proceedings of the 19th annual international conference on Supercomputing, Cambridge, Massachusetts, pages 367--376, ACM, 2005
[DOI]
Optimizing a 3D-FWT Video Encoder for SMPs and HyperThreading Architectures, Ricardo Fernandez, Jose M. Garcia, Gregorio Bernabe and Manuel E. Acacio, in: PDP '05: Proceedings of the 13th Euromicro Conference on Parallel, Distributed and Network-Based Processing, pages 76--83, IEEE Computer Society, 2005
[DOI]
Runtime Empirical Selection of Loop Schedulers on Hyperthreaded SMPs., Yun Zhang and Michael Voss, in: IPDPS '05: Proceedings of the 19th International Symposium on Parallel and Distributed Processing, 2005

A PHP Error was encountered

Severity: 8192

Message: Function eregi() is deprecated

Filename: helpers/MY_url_helper.php

Line Number: 24

A PHP Error was encountered

Severity: 8192

Message: Function eregi() is deprecated

Filename: helpers/MY_url_helper.php

Line Number: 24


[URL]
Scheduling Algorithms for Effective Thread Pairing on Hybrid Multiprocessors., Robert L. McGregor, Christos D. Antonopoulos and Dimitrios S. Nikolopoulos, in: IPDPS '05: Proceedings of the 19th International Symposium on Parallel and Distributed Processing, 2005

A PHP Error was encountered

Severity: 8192

Message: Function eregi() is deprecated

Filename: helpers/MY_url_helper.php

Line Number: 24

A PHP Error was encountered

Severity: 8192

Message: Function eregi() is deprecated

Filename: helpers/MY_url_helper.php

Line Number: 24


[URL]
smt- SPRINTS: Software Precomputation with Intelligent Streaming for Resource-Constrained SMTs., Tanping Wang, Christos D. Antonopoulos and Dimitrios S. Nikolopoulos, in: Euro-Par '05: Proceedings of the 11th International Euro-Par Conference on Parallel Processing, pages 710--719, 2005
[DOI]
Stream Programming on General-Purpose Processors, Jayanth Gummaraju and Mendel Rosenblum, in: MICRO 38: Proceedings of the 38th annual IEEE/ACM International Symposium on Microarchitecture, pages 343--354, IEEE Computer Society, 2005
[DOI]
2004
Architectural Support for Enhanced SMT Job Scheduling., Alex Settle, Joshua L. Kihm, Andrew Janiszewski and Daniel A. Connors, in: PACT '04: Proceedings of the 13th international conference on Parallel architectures and compilation techniques, pages 63--73, 2004

A PHP Error was encountered

Severity: 8192

Message: Function eregi() is deprecated

Filename: helpers/MY_url_helper.php

Line Number: 24

A PHP Error was encountered

Severity: 8192

Message: Function eregi() is deprecated

Filename: helpers/MY_url_helper.php

Line Number: 24


[URL]
Balanced Multithreading: Increasing Throughput via a Low Cost Multithreading Hierarchy, Eric Tune, Rakesh Kumar, Dean M. Tullsen and Brad Calder, in: MICRO 37: Proceedings of the 37th annual IEEE/ACM International Symposium on Microarchitecture, Portland, Oregon, pages 183--194, IEEE Computer Society, 2004
[DOI]
Dynamically Controlled Resource Allocation in SMT Processors, Francisco J. Cazorla, Alex Ramirez, Mateo Valero and Enrique Fernandez, in: MICRO 37: Proceedings of the 35th annual ACM/IEEE international symposium on Microarchitecture, Portland, Oregon, pages 171--182, IEEE Computer Society, 2004
[DOI]
Implementation of Fine-Grained Cache Monitoring for Improved SMT Scheduling., Joshua L. Kihm and Daniel A. Connors, in: ICCD '04: Proceedings of the 2004 International Conference on Computer Design, pages 326--331, 2004

A PHP Error was encountered

Severity: 8192

Message: Function eregi() is deprecated

Filename: helpers/MY_url_helper.php

Line Number: 24

A PHP Error was encountered

Severity: 8192

Message: Function eregi() is deprecated

Filename: helpers/MY_url_helper.php

Line Number: 24


[URL]
Predictable performance in SMT processors, Francisco J. Cazorla, Peter M. W. Knijnenburg, Rizos Sakellariou, Enrique Fernandez, Alex Ramirez and Mateo Valero, in: CF '04: Proceedings of the 1st conference on Computing frontiers, Ischia, Italy, pages 433--443, ACM Press, 2004
[DOI]
2003
Code and Data Transformations for Improving Shared Cache Performance on SMT Processors., Dimitrios S. Nikolopoulos, in: ISHPC, pages 54--69, 2003

A PHP Error was encountered

Severity: 8192

Message: Function eregi() is deprecated

Filename: helpers/MY_url_helper.php

Line Number: 24

A PHP Error was encountered

Severity: 8192

Message: Function eregi() is deprecated

Filename: helpers/MY_url_helper.php

Line Number: 24


[URL]
Exploring the Use of Hyper-Threading Technology for Multimedia Applications with Intel OpenMP Compiler, Xinmin Tian, Yen-Kuang Chen, Milind Girkar, Steven Ge, Rainer Lienhart and Sanjiv Shah, in: IPDPS '03: Proceedings of the 16th International Symposium on Parallel and Distributed Processing, pages 36.1, IEEE Computer Society, 2003
Front-End Policies for Improved Issue Efficiency in SMT Processors, Ali El-Moursy and David H. Albonesi, in: HPCA '03: Proceedings of the 9th International Symposium on High-Performance Computer Architecture, pages 31, IEEE Computer Society, 2003
2002
Hyper-Threading Technology Architecture and Microarchitecture, Deborah Marr, Frank Binns, David Hill, Glenn Hinton, David Koufaty, J. Alan Miller and Michael Upton (2002), in: Intel Technology Journal

A PHP Error was encountered

Severity: 8192

Message: Function eregi() is deprecated

Filename: helpers/MY_url_helper.php

Line Number: 24

A PHP Error was encountered

Severity: 8192

Message: Function eregi() is deprecated

Filename: helpers/MY_url_helper.php

Line Number: 24


[URL]
1999
A Study of a Simultaneous Multithreaded Processor Implementation, Dominik Madon, Eduardo Sanchez and Stefan Monnier, in: Euro-Par '99: Proceedings of the 5th International Euro-Par Conference on Parallel Processing, pages 716--726, Springer-Verlag, 1999
ILP versus TLP on SMT, Nicholas Mitchell, Larry Carter, Jeanne Ferrante and Dean M. Tullsen, in: Supercomputing '99: Proceedings of the 1999 ACM/IEEE conference on Supercomputing, Portland, Oregon, United States, pages 37, ACM Press, 1999
[DOI]
1997
Tuning compiler optimizations for simultaneous multithreading, Jack L. Lo, Susan J. Eggers, Henry M. Levy, Sujay S. Parekh and Dean M. Tullsen, in: MICRO 30: Proceedings of the 30th annual ACM/IEEE international symposium on Microarchitecture, Research Triangle Park, North Carolina, United States, pages 114--124, IEEE Computer Society, 1997
1996
Exploiting choice: instruction fetch and issue on an implementable simultaneous multithreading processor, Dean M. Tullsen, Susan J. Eggers, Joel S. Emer, Henry M. Levy, Jack L. Lo and Rebecca L. Stamm, in: ISCA '96: Proceedings of the 23th annual international symposium on Computer architecture, Philadelphia, Pennsylvania, United States, pages 191--202, ACM Press, 1996
[DOI]
1995
Simultaneous multithreading: maximizing on-chip parallelism, Dean M. Tullsen, Susan J. Eggers and Henry M. Levy, in: ISCA '95: Proceedings of the 22th annual international symposium on Computer architecture, S. Margherita Ligure, Italy, pages 392--403, ACM Press, 1995
[DOI]