smt

Publications for keyword "smt"
2008
Efficient resources assignment schemes for clustered multithreaded processors, F. Latorre, J. Gonzalez and Antonio Gonzalez (2008), in: Parallel and Distributed Processing, 2008. IPDPS 2008. IEEE International Symposium on(1-12)
[DOI]
The shared-thread multiprocessor, Jeffery A. Brown and Dean M. Tullsen, in: ICS '08: Proceedings of the 22nd annual international conference on Supercomputing, Island of Kos, Greece, pages 73--82, ACM, 2008
[DOI]
2007
Accelerating and Adapting Precomputation Threads for Effcient Prefetching, Weifeng Zhang, Dean M. Tullsen and Brad Calder, in: HPCA '07: Proceedings of the IEEE 13th International Symposium on High Performance Computer Architecture, pages 85--95, IEEE Computer Society, 2007
[DOI]
Thread clustering: sharing-aware scheduling on SMP-CMP-SMT multiprocessors, David Tam, Reza Azimi and Michael Stumm, in: EuroSys '07: Proceedings of the 2nd ACM SIGOPS/EuroSys European Conference on Computer Systems 2007, Lisbon, Portugal, pages 47--58, ACM, 2007
[DOI]
2006
A Self-Repairing Prefetcher in an Event-Driven Dynamic Optimization Framework, Weifeng Zhang, Brad Calder and Dean M. Tullsen, in: CGO '06: Proceedings of the International Symposium on Code Generation and Optimization, pages 50--64, IEEE Computer Society, 2006
[DOI]
Database hash-join algorithms on multithreaded computer architectures, Philip Garcia and Henry F. Korth, in: CF '06: Proceedings of the 3rd conference on Computing frontiers, Ischia, Italy, pages 241--252, ACM, 2006
[DOI]
Dynamic tiling for effective use of shared caches on multithreaded processors, Dimitrios S. Nikolopoulos (2006), in: International Journal of High Performance Computing and Networking, 2(22--35)
[DOI]
Exploiting Unbalanced Thread Scheduling for Energy and Performance on a CMP of SMT Processors, Matthew DeVuyst, Rakesh Kumar and Dean M. Tullsen, in: IPDPS '06: Proceedings of the 20th International Symposium on Parallel and Distributed Processing, 2006
Learning-Based SMT Processor Resource Distribution via Hill-Climbing, Seungryul Choi and Donald Yeung, in: ISCA '06: Proceedings of the 33rd annual international symposium on Computer Architecture, pages 239--251, IEEE Computer Society, 2006
[DOI]
Online power-performance adaptation of multithreaded programs using hardware event-based prediction, Matthew Curtis-Maury, James Dzierwa, Christos D. Antonopoulos and Dimitrios S. Nikolopoulos, in: ICS '06: Proceedings of the 20th annual international conference on Supercomputing, Cairns, Queensland, Australia, pages 157--166, ACM, 2006
[DOI]
2005
Adaptive execution techniques for SMT multiprocessor architectures, Changhee Jung, Daeseob Lim, Jaejin Lee and SangYong Han, in: PPoPP '05: Proceedings of the tenth ACM SIGPLAN symposium on Principles and practice of parallel programming, Chicago, IL, USA, pages 236--246, ACM, 2005
[DOI]
Buddy Threading in Distributed Applications on Simultaneous Multi-threading Processors, Nikola Vouk, Department of Computer Science, Raleigh, North California, 2005
Dynamic run-time architecture techniques for enabling continuous optimization, Tipp Moseley, Alex Shye, Vijay Janapa Reddi, Matthew Iyer, Dan Fay, David Hodgdon, Joshua L. Kihm, Alex Settle, Dirk Grunwald and Daniel A. Connors, in: CF '05: Proceedings of the 2nd conference on Computing frontiers, Ischia, Italy, pages 211--220, ACM Press, 2005
[DOI]
Improving database performance on simultaneous multithreading processors, Jingren Zhou, John Cieslewicz, Kenneth A. Ross and Mihir Shah, in: VLDB '05: Proceedings of the 31st international conference on Very large data bases, Trondheim, Norway, pages 49--60, VLDB Endowment, 2005
Integrating Multiple Forms of Multithreaded Execution on multi-SMT Systems: A Study with Scientific Applications, Matthew Curtis-Maury, Tanping Wang, Christos D. Antonopoulos and Dimitrios S. Nikolopoulos, in: QEST '05: Proceedings of the Second International Conference on the Quantitative Evaluation of Systems (QEST'05) on The Quantitative Evaluation of Systems, 2005
Methods for Modeling Resource Contention on Simultaneous Multithreading Processors, Tipp Moseley, Dirk Grunwald, Joshua L. Kihm and Daniel A. Connors, in: ICCD '05: Proceedings of the 2005 International Conference on Computer Design, pages 373--380, IEEE Computer Society, 2005
[DOI]
Multigrain parallel Delaunay Mesh generation: challenges and opportunities for multithreaded architectures, Christos D. Antonopoulos, Xiaoning Ding, Andrey Chernikov, Filip Blagojevic, Dimitrios S. Nikolopoulos and Nikos Chrisochoides, in: ICS '05: Proceedings of the 19th annual international conference on Supercomputing, Cambridge, Massachusetts, pages 367--376, ACM, 2005
[DOI]
Optimizing a 3D-FWT Video Encoder for SMPs and HyperThreading Architectures, Ricardo Fernandez, Jose M. Garcia, Gregorio Bernabe and Manuel E. Acacio, in: PDP '05: Proceedings of the 13th Euromicro Conference on Parallel, Distributed and Network-Based Processing, pages 76--83, IEEE Computer Society, 2005
[DOI]
Partitioning Multi-Threaded Processors with a Large Number of Threads, Ali El-Moursy, R. Garg, David H. Albonesi and S. Dwarkadas, in: ISPASS 2005: IEEE International Symposium on Performance Analysis of Systems and Software, 2005., pages 112-123, 2005
[DOI]
Runtime Empirical Selection of Loop Schedulers on Hyperthreaded SMPs., Yun Zhang and Michael Voss, in: IPDPS '05: Proceedings of the 19th International Symposium on Parallel and Distributed Processing, 2005

A PHP Error was encountered

Severity: 8192

Message: Function eregi() is deprecated

Filename: helpers/MY_url_helper.php

Line Number: 24

A PHP Error was encountered

Severity: 8192

Message: Function eregi() is deprecated

Filename: helpers/MY_url_helper.php

Line Number: 24


[URL]
Scheduling Algorithms for Effective Thread Pairing on Hybrid Multiprocessors., Robert L. McGregor, Christos D. Antonopoulos and Dimitrios S. Nikolopoulos, in: IPDPS '05: Proceedings of the 19th International Symposium on Parallel and Distributed Processing, 2005

A PHP Error was encountered

Severity: 8192

Message: Function eregi() is deprecated

Filename: helpers/MY_url_helper.php

Line Number: 24

A PHP Error was encountered

Severity: 8192

Message: Function eregi() is deprecated

Filename: helpers/MY_url_helper.php

Line Number: 24


[URL]
smt- SPRINTS: Software Precomputation with Intelligent Streaming for Resource-Constrained SMTs., Tanping Wang, Christos D. Antonopoulos and Dimitrios S. Nikolopoulos, in: Euro-Par '05: Proceedings of the 11th International Euro-Par Conference on Parallel Processing, pages 710--719, 2005
[DOI]
Stream Programming on General-Purpose Processors, Jayanth Gummaraju and Mendel Rosenblum, in: MICRO 38: Proceedings of the 38th annual IEEE/ACM International Symposium on Microarchitecture, pages 343--354, IEEE Computer Society, 2005
[DOI]
2004
Architectural Support for Enhanced SMT Job Scheduling., Alex Settle, Joshua L. Kihm, Andrew Janiszewski and Daniel A. Connors, in: PACT '04: Proceedings of the 13th international conference on Parallel architectures and compilation techniques, pages 63--73, 2004

A PHP Error was encountered

Severity: 8192

Message: Function eregi() is deprecated

Filename: helpers/MY_url_helper.php

Line Number: 24

A PHP Error was encountered

Severity: 8192

Message: Function eregi() is deprecated

Filename: helpers/MY_url_helper.php

Line Number: 24


[URL]
Balanced Multithreading: Increasing Throughput via a Low Cost Multithreading Hierarchy, Eric Tune, Rakesh Kumar, Dean M. Tullsen and Brad Calder, in: MICRO 37: Proceedings of the 37th annual IEEE/ACM International Symposium on Microarchitecture, Portland, Oregon, pages 183--194, IEEE Computer Society, 2004
[DOI]
Decoupled Software Pipelining with the Synchronization Array, Ram Rangan, Neil Vachharajani, Manish Vachharajani and David I. August, in: PACT '04: Proceedings of the 13th International Conference on Parallel Architectures and Compilation Techniques, pages 177--188, IEEE Computer Society, 2004
[DOI]
Dynamically Controlled Resource Allocation in SMT Processors, Francisco J. Cazorla, Alex Ramirez, Mateo Valero and Enrique Fernandez, in: MICRO 37: Proceedings of the 35th annual ACM/IEEE international symposium on Microarchitecture, Portland, Oregon, pages 171--182, IEEE Computer Society, 2004
[DOI]
Implementation of Fine-Grained Cache Monitoring for Improved SMT Scheduling., Joshua L. Kihm and Daniel A. Connors, in: ICCD '04: Proceedings of the 2004 International Conference on Computer Design, pages 326--331, 2004

A PHP Error was encountered

Severity: 8192

Message: Function eregi() is deprecated

Filename: helpers/MY_url_helper.php

Line Number: 24

A PHP Error was encountered

Severity: 8192

Message: Function eregi() is deprecated

Filename: helpers/MY_url_helper.php

Line Number: 24


[URL]
Physical Experimentation with Prefetching Helper Threads on Intel's Hyper-Threaded Processors, Dongkeun Kim, Steve Shih-wei Liao, Perry H. Wang, Juan del Cuvillo, Xinmin Tian, Xiang Zou, Hong Wang, Donald Yeung, Milind Girkar and John P. Shen, in: CGO '04: Proceedings of the International Symposium on Code Generation and Optimization, Palo Alto, California, pages 27, IEEE Computer Society, 2004
Predictable performance in SMT processors, Francisco J. Cazorla, Peter M. W. Knijnenburg, Rizos Sakellariou, Enrique Fernandez, Alex Ramirez and Mateo Valero, in: CF '04: Proceedings of the 1st conference on Computing frontiers, Ischia, Italy, pages 433--443, ACM Press, 2004
[DOI]
Runtime support for integrating precomputation and thread-level parallelism on simultaneous multithreaded processors, Tanping Wang, Filip Blagojevic and Dimitrios S. Nikolopoulos, in: LCR '04: Proceedings of the 7th workshop on Workshop on languages, compilers, and run-time support for scalable systems, Houston, Texas, pages 1--12, ACM Press, 2004
[DOI]
2003
Code and Data Transformations for Improving Shared Cache Performance on SMT Processors., Dimitrios S. Nikolopoulos, in: ISHPC, pages 54--69, 2003

A PHP Error was encountered

Severity: 8192

Message: Function eregi() is deprecated

Filename: helpers/MY_url_helper.php

Line Number: 24

A PHP Error was encountered

Severity: 8192

Message: Function eregi() is deprecated

Filename: helpers/MY_url_helper.php

Line Number: 24


[URL]
Exploring the Use of Hyper-Threading Technology for Multimedia Applications with Intel OpenMP Compiler, Xinmin Tian, Yen-Kuang Chen, Milind Girkar, Steven Ge, Rainer Lienhart and Sanjiv Shah, in: IPDPS '03: Proceedings of the 16th International Symposium on Parallel and Distributed Processing, pages 36.1, IEEE Computer Society, 2003
Front-End Policies for Improved Issue Efficiency in SMT Processors, Ali El-Moursy and David H. Albonesi, in: HPCA '03: Proceedings of the 9th International Symposium on High-Performance Computer Architecture, pages 31, IEEE Computer Society, 2003
Initial Observations of the Simultaneous Multithreading Pentium 4 Processor, Nathan Tuck and Dean M. Tullsen, in: PACT '03: Proceedings of the 12th international conference on Parallel architectures and compilation techniques, pages 26, IEEE Computer Society, 2003
Mini-Threads: Increasing TLP on Small-Scale SMT Processors, Joshua Redstone, Susan J. Eggers and Henry Levy, in: HPCA '03: Proceedings of the IEEE 9th International Symposium on High Performance Computer Architecture, pages 19, IEEE Computer Society, 2003
Optimizing SMT Processors for High Single-Thread Performance, Gautham K. Dorai, Donald Yeung and Seungryul Choi (2003), in: The Journal of Instruction-Level Parallelism, 5
2002
Symbiotic jobscheduling with priorities for a simultaneous multithreading processor, Allan Snavely, Dean M. Tullsen and Geoff Voelker, in: SIGMETRICS'02: Proceedings of the 2002 ACM SIGMETRICS international conference on Measurement and modeling of computer systems, Marina Del Rey, California, pages 66--76, ACM Press, 2002
[DOI]
Transparent Threads: Resource Sharing in SMT Processors for High Single-Thread Performance, Gautham K. Dorai and Donald Yeung, in: PACT '02: Proceedings of the 11th international conference on Parallel architectures and compilation techniques, pages 30, IEEE Computer Society, 2002
2001
Handling long-latency loads in a simultaneous multithreading processor, Dean M. Tullsen and Jeffery A. Brown, in: MICRO 34: Proceedings of the 34th annual ACM/IEEE international symposium on Microarchitecture, Austin, Texas, pages 318--327, IEEE Computer Society, 2001
Maximizing TLP with loop-parallelization on SMT, Diego Puppin and Dean M. Tullsen, in: MTEAC-5 : 5th Workshop on Multithreaded Execution, Architecture, and Compilation, 2001

A PHP Error was encountered

Severity: 8192

Message: Function eregi() is deprecated

Filename: helpers/MY_url_helper.php

Line Number: 24

A PHP Error was encountered

Severity: 8192

Message: Function eregi() is deprecated

Filename: helpers/MY_url_helper.php

Line Number: 24


[URL]
Multithreaded Processors, Theo Ungerer, Borut Robic and Jurij Silc (2001), in: The Computer Journal, 45(3)(320--348)
Speculative precomputation: long-range prefetching of delinquent loads, Jamison D. Collins, Hong Wang, Dean M. Tullsen, Christopher Hughes, Yong-Fong Lee, Dan Lavery and John P. Shen, in: ISCA '01: Proceedings of the 28th annual international symposium on Computer architecture, G\&\#246;teborg, Sweden, pages 14--25, ACM Press, 2001
[DOI]
Tolerating memory latency through software-controlled pre-execution in simultaneous multithreading processors, Chi-Keung Luk, in: ISCA '01: Proceedings of the 28th annual international symposium on Computer architecture, G\&\#246;teborg, Sweden, pages 40--51, ACM Press, 2001
[DOI]
1999
A Study of a Simultaneous Multithreaded Processor Implementation, Dominik Madon, Eduardo Sanchez and Stefan Monnier, in: Euro-Par '99: Proceedings of the 5th International Euro-Par Conference on Parallel Processing, pages 716--726, Springer-Verlag, 1999
ILP versus TLP on SMT, Nicholas Mitchell, Larry Carter, Jeanne Ferrante and Dean M. Tullsen, in: Supercomputing '99: Proceedings of the 1999 ACM/IEEE conference on Supercomputing, Portland, Oregon, United States, pages 37, ACM Press, 1999
[DOI]
Simultaneous subordinate microthreading (SSMT), Robert S. Chappell, Jared Stark, Sangwook P. Kim, Steven K. Reinhardt and Yale N. Patt (1999), in: ISCA '99: Proceedings of the 26th annual international symposium on Computer architecture:2(186--195)
[DOI]
Supporting Fine-Grained Synchronization on a Simultaneous Multithreading Processor, Dean M. Tullsen, Jack L. Lo, Susan J. Eggers and Henry M. Levy, in: HPCA '99: Proceedings of the IEEE 5th International Symposium on High Performance Computer Architecture, pages 54, IEEE Computer Society, 1999
1998
1997
Tuning compiler optimizations for simultaneous multithreading, Jack L. Lo, Susan J. Eggers, Henry M. Levy, Sujay S. Parekh and Dean M. Tullsen, in: MICRO 30: Proceedings of the 30th annual ACM/IEEE international symposium on Microarchitecture, Research Triangle Park, North Carolina, United States, pages 114--124, IEEE Computer Society, 1997
1996
Exploiting choice: instruction fetch and issue on an implementable simultaneous multithreading processor, Dean M. Tullsen, Susan J. Eggers, Joel S. Emer, Henry M. Levy, Jack L. Lo and Rebecca L. Stamm, in: ISCA '96: Proceedings of the 23th annual international symposium on Computer architecture, Philadelphia, Pennsylvania, United States, pages 191--202, ACM Press, 1996
[DOI]
1995
Simultaneous multithreading: maximizing on-chip parallelism, Dean M. Tullsen, Susan J. Eggers and Henry M. Levy, in: ISCA '95: Proceedings of the 22th annual international symposium on Computer architecture, S. Margherita Ligure, Italy, pages 392--403, ACM Press, 1995
[DOI]
A Simulator for SMT Architectures: Evaluating Instruction Cache Topologies, Ronaldo Goncalves, Eduard Ayguade, Mateo Valero and Philippe Navaux

A PHP Error was encountered

Severity: 8192

Message: Function eregi() is deprecated

Filename: helpers/MY_url_helper.php

Line Number: 24

A PHP Error was encountered

Severity: 8192

Message: Function eregi() is deprecated

Filename: helpers/MY_url_helper.php

Line Number: 24


[URL]