Dear guest, welcome to this publication database. As an anonymous user, you will probably not have edit rights. Also, the collapse status of the topic tree will not be persistent. If you like to have these and other options enabled, you might ask CSLab BibPortal Admin for a login account.
This site is powered by Aigaion - A PHP/Web based management system for shared and annotated bibliographies. For more information visit www.aigaion.nl. SourceForge.hetLogo
Computer Architecture
  icon
Topic: --to be processed

-No description-

Subtopics:

Keywords:

  • 28 publications (0 read)
  • 91 authors [view]
  • No subtopics
Publications for topic "--to be processed" sorted by first author
Omni OpenMP Compiler Project, Released in the International Conference for High Performance Computing, Networking and Storage (SC'03), 2003

A PHP Error was encountered

Severity: 8192

Message: Function eregi() is deprecated

Filename: helpers/MY_url_helper.php

Line Number: 24

A PHP Error was encountered

Severity: 8192

Message: Function eregi() is deprecated

Filename: helpers/MY_url_helper.php

Line Number: 24


[URL]

A

The Landscape of Parallel Computing Research: A View from Berkeley, K. Asanovic, R. Bodik, B. C. Catanzaro, J. J. Gebis, Parry Husbands, K. Keutzer, David Patterson, W. L. Plishker, John Shalf, Samuel Williams and Katherine A. Yelick, EECS Department, University of California, Berkeley, number UCB/EECS-2006-183, 2006

A PHP Error was encountered

Severity: 8192

Message: Function eregi() is deprecated

Filename: helpers/MY_url_helper.php

Line Number: 24

A PHP Error was encountered

Severity: 8192

Message: Function eregi() is deprecated

Filename: helpers/MY_url_helper.php

Line Number: 24


[URL]

C

Online power-performance adaptation of multithreaded programs using hardware event-based prediction, Matthew Curtis-Maury, James Dzierwa, Christos D. Antonopoulos and Dimitrios S. Nikolopoulos, in: ICS '06: Proceedings of the 20th annual international conference on Supercomputing, Cairns, Queensland, Australia, pages 157--166, ACM, 2006
[DOI]

D

Avoiding Communication in Computing Krylov Subspaces, James W. Demmel, Mark Frederick Hoemmen, Marghoob Mohiyuddin and Katherine A. Yelick, 2007

A PHP Error was encountered

Severity: 8192

Message: Function eregi() is deprecated

Filename: helpers/MY_url_helper.php

Line Number: 24

A PHP Error was encountered

Severity: 8192

Message: Function eregi() is deprecated

Filename: helpers/MY_url_helper.php

Line Number: 24


[URL]
Optimizing SMT Processors for High Single-Thread Performance, Gautham K. Dorai, Donald Yeung and Seungryul Choi (2003), in: The Journal of Instruction-Level Parallelism, 5

E

Partitioning Multi-Threaded Processors with a Large Number of Threads, Ali El-Moursy, R. Garg, David H. Albonesi and S. Dwarkadas, in: ISPASS 2005: IEEE International Symposium on Performance Analysis of Systems and Software, 2005., pages 112-123, 2005
[DOI]

F

Concurrent programming without locks, Keir Fraser and Tim Harris (2007), in: ACM Trans. Comput. Syst., 25:2(5)
[DOI]

G

Thread coloring: a scheduler proposal from user to hardware threads, Marisa Gil and Ruben Pinilla (2005), in: SIGOPS Oper. Syst. Rev., 39:2(54--70)
[DOI]
Using fine grain multithreading for energy efficient computing, Alex Gontmakher, Avi Mendelson and Assaf Schuster, in: PPoPP '07: Proceedings of the 12th ACM SIGPLAN symposium on Principles and practice of parallel programming, San Jose, California, USA, pages 259--269, ACM, 2007
[DOI]

K


L


M

Dynamic run-time architecture techniques for enabling continuous optimization, Tipp Moseley, Alex Shye, Vijay Janapa Reddi, Matthew Iyer, Dan Fay, David Hodgdon, Joshua L. Kihm, Alex Settle, Dirk Grunwald and Daniel A. Connors, in: CF '05: Proceedings of the 2nd conference on Computing frontiers, Ischia, Italy, pages 211--220, ACM Press, 2005
[DOI]

N

Pthreads for dynamic and irregular parallelism, Girija J. Narlikar and Guy E. Blelloch, in: Supercomputing '98: Proceedings of the 1998 ACM/IEEE conference on Supercomputing, San Jose, CA, pages 1--16, IEEE Computer Society, 1998

O

Automatic Thread Extraction with Decoupled Software Pipelining, Guilherme Ottoni, Ram Rangan, Adam Stoler and David I. August, in: MICRO 38: Proceedings of the 38th annual IEEE/ACM International Symposium on Microarchitecture, pages 105--118, IEEE Computer Society, 2005

P


R

Compiler orchestrated prefetching via speculation and predication, Rodric M. Rabbah, Hariharan Sandanagobalane, Mongkol Ekpanyapong and Weng-Fai Wong, in: ASPLOS-XI: Proceedings of the 11th International Conference on Architectural Support for Programming Languages and Operating Systems, Boston, MA, USA, pages 189--198, ACM, 2004
[DOI]
Mini-Threads: Increasing TLP on Small-Scale SMT Processors, Joshua Redstone, Susan J. Eggers and Henry Levy, in: HPCA '03: Proceedings of the IEEE 9th International Symposium on High Performance Computer Architecture, pages 19, IEEE Computer Society, 2003
Dependence based prefetching for linked data structures, Amir Roth, Andreas Moshovos and Gurindar S. Sohi, in: ASPLOS-VIII: Proceedings of the 8th International Conference on Architectural Support for Programming Languages and Operating Systems, San Jose, California, United States, pages 115--126, ACM Press, 1998
[DOI]

S

Design and Implementation of a Compiler Framework for Helper Threading on Multi-core Processors, Yonghong Song, Spiros Kalogeropulos and Partha Tirumalai, in: PACT '05: Proceedings of the 14th international conference on Parallel architectures and compilation techniques, pages 99--109, IEEE Computer Society, 2005
[DOI]
The Potential for Using Thread-Level Data Speculation to Facilitate Automatic Parallelization, J. Greggory Steffan and Todd C. Mowry, in: HPCA '98: Proceedings of the IEEE 4th International Symposium on High Performance Computer Architecture, pages 2--13, 1998

A PHP Error was encountered

Severity: 8192

Message: Function eregi() is deprecated

Filename: helpers/MY_url_helper.php

Line Number: 24

A PHP Error was encountered

Severity: 8192

Message: Function eregi() is deprecated

Filename: helpers/MY_url_helper.php

Line Number: 24


[URL]

T

Initial Observations of the Simultaneous Multithreading Pentium 4 Processor, Nathan Tuck and Dean M. Tullsen, in: PACT '03: Proceedings of the 12th international conference on Parallel architectures and compilation techniques, pages 26, IEEE Computer Society, 2003

W

Runtime support for integrating precomputation and thread-level parallelism on simultaneous multithreaded processors, Tanping Wang, Filip Blagojevic and Dimitrios S. Nikolopoulos, in: LCR '04: Proceedings of the 7th workshop on Workshop on languages, compilers, and run-time support for scalable systems, Houston, Texas, pages 1--12, ACM Press, 2004
[DOI]

Z

Accelerating and Adapting Precomputation Threads for Effcient Prefetching, Weifeng Zhang, Dean M. Tullsen and Brad Calder, in: HPCA '07: Proceedings of the IEEE 13th International Symposium on High Performance Computer Architecture, pages 85--95, IEEE Computer Society, 2007
[DOI]