Dean M. Tullsen
First name(s): Dean M.
Last name(s): Tullsen

Publications of Dean M. Tullsen sorted by first author

B

The shared-thread multiprocessor, Jeffery A. Brown and Dean M. Tullsen, in: ICS '08: Proceedings of the 22nd annual international conference on Supercomputing, Island of Kos, Greece, pages 73--82, ACM, 2008
[DOI]

C

Pointer cache assisted prefetching, Jamison D. Collins, Suleyman Sair, Brad Calder and Dean M. Tullsen, in: MICRO 35: Proceedings of the 35th annual ACM/IEEE international symposium on Microarchitecture, Istanbul, Turkey, pages 62--73, IEEE Computer Society Press, 2002
Speculative precomputation: long-range prefetching of delinquent loads, Jamison D. Collins, Hong Wang, Dean M. Tullsen, Christopher Hughes, Yong-Fong Lee, Dan Lavery and John P. Shen, in: ISCA '01: Proceedings of the 28th annual international symposium on Computer architecture, G\&\#246;teborg, Sweden, pages 14--25, ACM Press, 2001
[DOI]

D

Exploiting Unbalanced Thread Scheduling for Energy and Performance on a CMP of SMT Processors, Matthew DeVuyst, Rakesh Kumar and Dean M. Tullsen, in: IPDPS '06: Proceedings of the 20th International Symposium on Parallel and Distributed Processing, 2006

E


L

Tuning compiler optimizations for simultaneous multithreading, Jack L. Lo, Susan J. Eggers, Henry M. Levy, Sujay S. Parekh and Dean M. Tullsen, in: MICRO 30: Proceedings of the 30th annual ACM/IEEE international symposium on Microarchitecture, Research Triangle Park, North Carolina, United States, pages 114--124, IEEE Computer Society, 1997

M

ILP versus TLP on SMT, Nicholas Mitchell, Larry Carter, Jeanne Ferrante and Dean M. Tullsen, in: Supercomputing '99: Proceedings of the 1999 ACM/IEEE conference on Supercomputing, Portland, Oregon, United States, pages 37, ACM Press, 1999
[DOI]

P

Maximizing TLP with loop-parallelization on SMT, Diego Puppin and Dean M. Tullsen, in: MTEAC-5 : 5th Workshop on Multithreaded Execution, Architecture, and Compilation, 2001

A PHP Error was encountered

Severity: 8192

Message: Function eregi() is deprecated

Filename: helpers/MY_url_helper.php

Line Number: 24

A PHP Error was encountered

Severity: 8192

Message: Function eregi() is deprecated

Filename: helpers/MY_url_helper.php

Line Number: 24


[URL]

Q

Mitosis compiler: an infrastructure for speculative threading based on pre-computation slices, Carlos Garcia Quinones, Carlos Madriles, Jesus Sanchez, Pedro Marcuello, Antonio Gonzalez and Dean M. Tullsen, in: PLDI '05: Proceedings of the 2005 ACM SIGPLAN conference on Programming language design and implementation, Chicago, IL, USA, pages 269--279, ACM Press, 2005
[DOI]

S

Symbiotic jobscheduling with priorities for a simultaneous multithreading processor, Allan Snavely, Dean M. Tullsen and Geoff Voelker, in: SIGMETRICS'02: Proceedings of the 2002 ACM SIGMETRICS international conference on Measurement and modeling of computer systems, Marina Del Rey, California, pages 66--76, ACM Press, 2002
[DOI]

T

Initial Observations of the Simultaneous Multithreading Pentium 4 Processor, Nathan Tuck and Dean M. Tullsen, in: PACT '03: Proceedings of the 12th international conference on Parallel architectures and compilation techniques, pages 26, IEEE Computer Society, 2003
Handling long-latency loads in a simultaneous multithreading processor, Dean M. Tullsen and Jeffery A. Brown, in: MICRO 34: Proceedings of the 34th annual ACM/IEEE international symposium on Microarchitecture, Austin, Texas, pages 318--327, IEEE Computer Society, 2001
Exploiting choice: instruction fetch and issue on an implementable simultaneous multithreading processor, Dean M. Tullsen, Susan J. Eggers, Joel S. Emer, Henry M. Levy, Jack L. Lo and Rebecca L. Stamm, in: ISCA '96: Proceedings of the 23th annual international symposium on Computer architecture, Philadelphia, Pennsylvania, United States, pages 191--202, ACM Press, 1996
[DOI]
Simultaneous multithreading: maximizing on-chip parallelism, Dean M. Tullsen, Susan J. Eggers and Henry M. Levy, in: ISCA '95: Proceedings of the 22th annual international symposium on Computer architecture, S. Margherita Ligure, Italy, pages 392--403, ACM Press, 1995
[DOI]
Supporting Fine-Grained Synchronization on a Simultaneous Multithreading Processor, Dean M. Tullsen, Jack L. Lo, Susan J. Eggers and Henry M. Levy, in: HPCA '99: Proceedings of the IEEE 5th International Symposium on High Performance Computer Architecture, pages 54, IEEE Computer Society, 1999
Balanced Multithreading: Increasing Throughput via a Low Cost Multithreading Hierarchy, Eric Tune, Rakesh Kumar, Dean M. Tullsen and Brad Calder, in: MICRO 37: Proceedings of the 37th annual IEEE/ACM International Symposium on Microarchitecture, Portland, Oregon, pages 183--194, IEEE Computer Society, 2004
[DOI]

Z

A Self-Repairing Prefetcher in an Event-Driven Dynamic Optimization Framework, Weifeng Zhang, Brad Calder and Dean M. Tullsen, in: CGO '06: Proceedings of the International Symposium on Code Generation and Optimization, pages 50--64, IEEE Computer Society, 2006
[DOI]
Accelerating and Adapting Precomputation Threads for Effcient Prefetching, Weifeng Zhang, Dean M. Tullsen and Brad Calder, in: HPCA '07: Proceedings of the IEEE 13th International Symposium on High Performance Computer Architecture, pages 85--95, IEEE Computer Society, 2007
[DOI]