Dear guest, welcome to this publication database. As an anonymous user, you will probably not have edit rights. Also, the collapse status of the topic tree will not be persistent. If you like to have these and other options enabled, you might ask CSLab BibPortal Admin for a login account.
This site is powered by Aigaion - A PHP/Web based management system for shared and annotated bibliographies. For more information visit www.aigaion.nl. SourceForge.hetLogo
Gurindar S. Sohi
First name(s): Gurindar S.
Last name(s): Sohi

Publications of Gurindar S. Sohi sorted by first author

R

Dependence based prefetching for linked data structures, Amir Roth, Andreas Moshovos and Gurindar S. Sohi, in: ASPLOS-VIII: Proceedings of the 8th International Conference on Architectural Support for Programming Languages and Operating Systems, San Jose, California, United States, pages 115--126, ACM Press, 1998
[DOI]
A quantitative framework for automated pre-execution thread selection, Amir Roth and Gurindar S. Sohi, in: MICRO 35: Proceedings of the 35th annual ACM/IEEE international symposium on Microarchitecture, Istanbul, Turkey, pages 430--441, IEEE Computer Society Press, 2002
Speculative Data-Driven Multithreading, Amir Roth and Gurindar S. Sohi, in: HPCA '01: Proceedings of the IEEE 7th International Symposium on High Performance Computer Architecture, pages 37, IEEE Computer Society, 2001
Register integration: a simple and efficient implementation of squash reuse, Amir Roth and Gurindar S. Sohi, in: MICRO 33: Proceedings of the 33th annual ACM/IEEE international symposium on Microarchitecture, Monterey, California, United States, pages 223--234, ACM Press, 2000
[DOI]

S

The Microarchitecture of Superscalar Processors, J. Smith and Gurindar S. Sohi, 1995

A PHP Error was encountered

Severity: 8192

Message: Function eregi() is deprecated

Filename: helpers/MY_url_helper.php

Line Number: 24

A PHP Error was encountered

Severity: 8192

Message: Function eregi() is deprecated

Filename: helpers/MY_url_helper.php

Line Number: 24


[URL]

Z

Master/slave speculative parallelization, Craig Zilles and Gurindar S. Sohi, in: MICRO 35: Proceedings of the 35th annual ACM/IEEE international symposium on Microarchitecture, Istanbul, Turkey, pages 85--96, IEEE Computer Society Press, 2002
Execution-based prediction using speculative slices, Craig Zilles and Gurindar S. Sohi, in: ISCA '01: Proceedings of the 28th annual international symposium on Computer architecture, G\&\#246;teborg, Sweden, pages 2--13, ACM Press, 2001
[DOI]